Cargando…

A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors

In this paper, a novel scheme for source/drain-first (S/D-first) full bottom dielectric isolation (BDI), i.e., Full BDI_Last, with integration of a sacrificial Si(0.5)Ge(0.5) layer was proposed and demonstrated in a stacked Si nanosheet gate-all-around (NS-GAA) device structure using TCAD simulation...

Descripción completa

Detalles Bibliográficos
Autores principales: Yang, Jingwen, Huang, Ziqiang, Wang, Dawei, Liu, Tao, Sun, Xin, Qian, Lewen, Pan, Zhecheng, Xu, Saisheng, Wang, Chen, Wu, Chunlei, Xu, Min, Zhang, David Wei
Formato: Online Artículo Texto
Lenguaje:English
Publicado: MDPI 2023
Materias:
Acceso en línea:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC10302010/
https://www.ncbi.nlm.nih.gov/pubmed/37374692
http://dx.doi.org/10.3390/mi14061107
_version_ 1785064947978338304
author Yang, Jingwen
Huang, Ziqiang
Wang, Dawei
Liu, Tao
Sun, Xin
Qian, Lewen
Pan, Zhecheng
Xu, Saisheng
Wang, Chen
Wu, Chunlei
Xu, Min
Zhang, David Wei
author_facet Yang, Jingwen
Huang, Ziqiang
Wang, Dawei
Liu, Tao
Sun, Xin
Qian, Lewen
Pan, Zhecheng
Xu, Saisheng
Wang, Chen
Wu, Chunlei
Xu, Min
Zhang, David Wei
author_sort Yang, Jingwen
collection PubMed
description In this paper, a novel scheme for source/drain-first (S/D-first) full bottom dielectric isolation (BDI), i.e., Full BDI_Last, with integration of a sacrificial Si(0.5)Ge(0.5) layer was proposed and demonstrated in a stacked Si nanosheet gate-all-around (NS-GAA) device structure using TCAD simulations. The proposed full BDI scheme flow is compatible with the main process flow of NS-GAA transistor fabrication and provides a large window for process fluctuations, such as the thickness of the S/D recess. It is an ingenious solution to insert the dielectric material under the source, drain and gate regions to remove the parasitic channel. Moreover, because the S/D-first scheme decreases the problem of high-quality S/D epitaxy, the innovative fabrication scheme introduces full BDI formation after S/D epitaxy to mitigate the difficulty of providing stress engineering in the full BDI formation before S/D epitaxy (Full BDI_First). The electrical performance of Full BDI_Last is demonstrated by a 4.78-fold increase in the drive current compared to Full BDI_First. Furthermore, compared to traditional punch through stoppers (PTSs), the proposed Full BDI_Last technology could potentially provide an improved short channel behavior and good immunity against parasitic gate capacitance in NS-GAA devices. For the assessed inverter ring oscillator (RO), applying the Full BDI_Last scheme allows the operating speed to be increased by 15.2% and 6.2% at the same power, or alternatively enables an 18.9% and 6.8% lower power consumption at the same speed compared with the PTS and Full BDI_First schemes, respectively. The observations confirm that the novel Full BDI_Last scheme incorporated into an NS-GAA device can be utilized to enable superior characteristics to benefit the performance of integrated circuits.
format Online
Article
Text
id pubmed-10302010
institution National Center for Biotechnology Information
language English
publishDate 2023
publisher MDPI
record_format MEDLINE/PubMed
spelling pubmed-103020102023-06-29 A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors Yang, Jingwen Huang, Ziqiang Wang, Dawei Liu, Tao Sun, Xin Qian, Lewen Pan, Zhecheng Xu, Saisheng Wang, Chen Wu, Chunlei Xu, Min Zhang, David Wei Micromachines (Basel) Article In this paper, a novel scheme for source/drain-first (S/D-first) full bottom dielectric isolation (BDI), i.e., Full BDI_Last, with integration of a sacrificial Si(0.5)Ge(0.5) layer was proposed and demonstrated in a stacked Si nanosheet gate-all-around (NS-GAA) device structure using TCAD simulations. The proposed full BDI scheme flow is compatible with the main process flow of NS-GAA transistor fabrication and provides a large window for process fluctuations, such as the thickness of the S/D recess. It is an ingenious solution to insert the dielectric material under the source, drain and gate regions to remove the parasitic channel. Moreover, because the S/D-first scheme decreases the problem of high-quality S/D epitaxy, the innovative fabrication scheme introduces full BDI formation after S/D epitaxy to mitigate the difficulty of providing stress engineering in the full BDI formation before S/D epitaxy (Full BDI_First). The electrical performance of Full BDI_Last is demonstrated by a 4.78-fold increase in the drive current compared to Full BDI_First. Furthermore, compared to traditional punch through stoppers (PTSs), the proposed Full BDI_Last technology could potentially provide an improved short channel behavior and good immunity against parasitic gate capacitance in NS-GAA devices. For the assessed inverter ring oscillator (RO), applying the Full BDI_Last scheme allows the operating speed to be increased by 15.2% and 6.2% at the same power, or alternatively enables an 18.9% and 6.8% lower power consumption at the same speed compared with the PTS and Full BDI_First schemes, respectively. The observations confirm that the novel Full BDI_Last scheme incorporated into an NS-GAA device can be utilized to enable superior characteristics to benefit the performance of integrated circuits. MDPI 2023-05-24 /pmc/articles/PMC10302010/ /pubmed/37374692 http://dx.doi.org/10.3390/mi14061107 Text en © 2023 by the authors. https://creativecommons.org/licenses/by/4.0/Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
spellingShingle Article
Yang, Jingwen
Huang, Ziqiang
Wang, Dawei
Liu, Tao
Sun, Xin
Qian, Lewen
Pan, Zhecheng
Xu, Saisheng
Wang, Chen
Wu, Chunlei
Xu, Min
Zhang, David Wei
A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors
title A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors
title_full A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors
title_fullStr A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors
title_full_unstemmed A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors
title_short A Novel Scheme for Full Bottom Dielectric Isolation in Stacked Si Nanosheet Gate-All-Around Transistors
title_sort novel scheme for full bottom dielectric isolation in stacked si nanosheet gate-all-around transistors
topic Article
url https://www.ncbi.nlm.nih.gov/pmc/articles/PMC10302010/
https://www.ncbi.nlm.nih.gov/pubmed/37374692
http://dx.doi.org/10.3390/mi14061107
work_keys_str_mv AT yangjingwen anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT huangziqiang anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT wangdawei anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT liutao anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT sunxin anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT qianlewen anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT panzhecheng anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT xusaisheng anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT wangchen anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT wuchunlei anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT xumin anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT zhangdavidwei anovelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT yangjingwen novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT huangziqiang novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT wangdawei novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT liutao novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT sunxin novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT qianlewen novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT panzhecheng novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT xusaisheng novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT wangchen novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT wuchunlei novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT xumin novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors
AT zhangdavidwei novelschemeforfullbottomdielectricisolationinstackedsinanosheetgateallaroundtransistors