Cargando…

Dynamically Scalable NoC Architecture for Implementing Run-Time Reconfigurable Applications

The paper proposes two architectures for a dynamically scalable network-on-chip (NoC) for dynamically reconfigurable intellectual properties (IPs) to save power. The first architecture is a run-time scalable column-based NoC, where the columns of the NoC are scaled up and down at run-time depending...

Descripción completa

Detalles Bibliográficos
Autores principales: Ijaz, Qaiser, Kidane, Hiliwi Leake, Bourennane, El-Bay, Ochoa-Ruiz, Gilberto
Formato: Online Artículo Texto
Lenguaje:English
Publicado: MDPI 2023
Materias:
Acceso en línea:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC10609355/
https://www.ncbi.nlm.nih.gov/pubmed/37893350
http://dx.doi.org/10.3390/mi14101913
_version_ 1785127994345390080
author Ijaz, Qaiser
Kidane, Hiliwi Leake
Bourennane, El-Bay
Ochoa-Ruiz, Gilberto
author_facet Ijaz, Qaiser
Kidane, Hiliwi Leake
Bourennane, El-Bay
Ochoa-Ruiz, Gilberto
author_sort Ijaz, Qaiser
collection PubMed
description The paper proposes two architectures for a dynamically scalable network-on-chip (NoC) for dynamically reconfigurable intellectual properties (IPs) to save power. The first architecture is a run-time scalable column-based NoC, where the columns of the NoC are scaled up and down at run-time depending on the demands to connect reconfigurable IPs. The second architecture is an extension of the first, where both the rows and columns of the NoC are dynamically scaled up and down on demand. A robust control manager is developed to control the IP and sub-NoC reconfigurations by optimizing the reconfiguration costs. The proposed architectures have been implemented and tested in actual prototypes on a Virtex 6 FPGA mounted on the ML605 board. The results show that dynamically scalable architectures are capable of significant power reduction as compared to traditional static architectures for the same size of the NoC. It is anticipated that the scalable NoC can be very useful for sharing the FPGA resources among IPs at runtime.
format Online
Article
Text
id pubmed-10609355
institution National Center for Biotechnology Information
language English
publishDate 2023
publisher MDPI
record_format MEDLINE/PubMed
spelling pubmed-106093552023-10-28 Dynamically Scalable NoC Architecture for Implementing Run-Time Reconfigurable Applications Ijaz, Qaiser Kidane, Hiliwi Leake Bourennane, El-Bay Ochoa-Ruiz, Gilberto Micromachines (Basel) Article The paper proposes two architectures for a dynamically scalable network-on-chip (NoC) for dynamically reconfigurable intellectual properties (IPs) to save power. The first architecture is a run-time scalable column-based NoC, where the columns of the NoC are scaled up and down at run-time depending on the demands to connect reconfigurable IPs. The second architecture is an extension of the first, where both the rows and columns of the NoC are dynamically scaled up and down on demand. A robust control manager is developed to control the IP and sub-NoC reconfigurations by optimizing the reconfiguration costs. The proposed architectures have been implemented and tested in actual prototypes on a Virtex 6 FPGA mounted on the ML605 board. The results show that dynamically scalable architectures are capable of significant power reduction as compared to traditional static architectures for the same size of the NoC. It is anticipated that the scalable NoC can be very useful for sharing the FPGA resources among IPs at runtime. MDPI 2023-10-07 /pmc/articles/PMC10609355/ /pubmed/37893350 http://dx.doi.org/10.3390/mi14101913 Text en © 2023 by the authors. https://creativecommons.org/licenses/by/4.0/Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
spellingShingle Article
Ijaz, Qaiser
Kidane, Hiliwi Leake
Bourennane, El-Bay
Ochoa-Ruiz, Gilberto
Dynamically Scalable NoC Architecture for Implementing Run-Time Reconfigurable Applications
title Dynamically Scalable NoC Architecture for Implementing Run-Time Reconfigurable Applications
title_full Dynamically Scalable NoC Architecture for Implementing Run-Time Reconfigurable Applications
title_fullStr Dynamically Scalable NoC Architecture for Implementing Run-Time Reconfigurable Applications
title_full_unstemmed Dynamically Scalable NoC Architecture for Implementing Run-Time Reconfigurable Applications
title_short Dynamically Scalable NoC Architecture for Implementing Run-Time Reconfigurable Applications
title_sort dynamically scalable noc architecture for implementing run-time reconfigurable applications
topic Article
url https://www.ncbi.nlm.nih.gov/pmc/articles/PMC10609355/
https://www.ncbi.nlm.nih.gov/pubmed/37893350
http://dx.doi.org/10.3390/mi14101913
work_keys_str_mv AT ijazqaiser dynamicallyscalablenocarchitectureforimplementingruntimereconfigurableapplications
AT kidanehiliwileake dynamicallyscalablenocarchitectureforimplementingruntimereconfigurableapplications
AT bourennaneelbay dynamicallyscalablenocarchitectureforimplementingruntimereconfigurableapplications
AT ochoaruizgilberto dynamicallyscalablenocarchitectureforimplementingruntimereconfigurableapplications