Cargando…

Speed, energy and area optimized early output quasi-delay-insensitive array multipliers

Multiplication is a widely used arithmetic operation that is frequently encountered in micro-processing and digital signal processing. Multiplication is implemented using a multiplier, and recently, QDI asynchronous array multipliers were presented in the literature utilizing delay-insensitive doubl...

Descripción completa

Detalles Bibliográficos
Autores principales: Balasubramanian, P., Maskell, D. L., Mastorakis, N. E.
Formato: Online Artículo Texto
Lenguaje:English
Publicado: Public Library of Science 2020
Materias:
Acceso en línea:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC6996843/
https://www.ncbi.nlm.nih.gov/pubmed/32012180
http://dx.doi.org/10.1371/journal.pone.0228343
_version_ 1783493585605230592
author Balasubramanian, P.
Maskell, D. L.
Mastorakis, N. E.
author_facet Balasubramanian, P.
Maskell, D. L.
Mastorakis, N. E.
author_sort Balasubramanian, P.
collection PubMed
description Multiplication is a widely used arithmetic operation that is frequently encountered in micro-processing and digital signal processing. Multiplication is implemented using a multiplier, and recently, QDI asynchronous array multipliers were presented in the literature utilizing delay-insensitive double-rail data encoding and four-phase return-to-zero (RTZ) handshaking and four-phase return-to-one (RTO) handshaking. In this context, this article makes two contributions: (i) the design of a new asynchronous partial product generator, and (ii) the design of a new asynchronous half adder. We analyze the usefulness of the proposed partial product generator and the proposed half adder to efficiently realize QDI array multipliers. When the new partial product generator and half adder are used along with our indicating full adder, significant reductions are achieved in the design metrics compared to the optimum QDI array multiplier reported in the literature. The cycle time is reduced by 17%, the area is reduced by 16.1%, the power is reduced by 15.3%, and the product of power and cycle time is reduced by 29.6% with respect to RTZ handshaking. On the other hand, the cycle time is reduced by 13%, the area is reduced by 16.1%, the power is reduced by 15.2%, and the product of power and cycle time is reduced by 26.1% with respect to RTO handshaking. Further, the RTO handshaking is found to be preferable to RTZ handshaking to achieve slightly improved optimizations in the design metrics. The QDI array multipliers were realized using a 32/28nm complementary metal oxide semiconductor (CMOS) process technology.
format Online
Article
Text
id pubmed-6996843
institution National Center for Biotechnology Information
language English
publishDate 2020
publisher Public Library of Science
record_format MEDLINE/PubMed
spelling pubmed-69968432020-02-20 Speed, energy and area optimized early output quasi-delay-insensitive array multipliers Balasubramanian, P. Maskell, D. L. Mastorakis, N. E. PLoS One Research Article Multiplication is a widely used arithmetic operation that is frequently encountered in micro-processing and digital signal processing. Multiplication is implemented using a multiplier, and recently, QDI asynchronous array multipliers were presented in the literature utilizing delay-insensitive double-rail data encoding and four-phase return-to-zero (RTZ) handshaking and four-phase return-to-one (RTO) handshaking. In this context, this article makes two contributions: (i) the design of a new asynchronous partial product generator, and (ii) the design of a new asynchronous half adder. We analyze the usefulness of the proposed partial product generator and the proposed half adder to efficiently realize QDI array multipliers. When the new partial product generator and half adder are used along with our indicating full adder, significant reductions are achieved in the design metrics compared to the optimum QDI array multiplier reported in the literature. The cycle time is reduced by 17%, the area is reduced by 16.1%, the power is reduced by 15.3%, and the product of power and cycle time is reduced by 29.6% with respect to RTZ handshaking. On the other hand, the cycle time is reduced by 13%, the area is reduced by 16.1%, the power is reduced by 15.2%, and the product of power and cycle time is reduced by 26.1% with respect to RTO handshaking. Further, the RTO handshaking is found to be preferable to RTZ handshaking to achieve slightly improved optimizations in the design metrics. The QDI array multipliers were realized using a 32/28nm complementary metal oxide semiconductor (CMOS) process technology. Public Library of Science 2020-02-03 /pmc/articles/PMC6996843/ /pubmed/32012180 http://dx.doi.org/10.1371/journal.pone.0228343 Text en © 2020 Balasubramanian et al http://creativecommons.org/licenses/by/4.0/ This is an open access article distributed under the terms of the Creative Commons Attribution License (http://creativecommons.org/licenses/by/4.0/) , which permits unrestricted use, distribution, and reproduction in any medium, provided the original author and source are credited.
spellingShingle Research Article
Balasubramanian, P.
Maskell, D. L.
Mastorakis, N. E.
Speed, energy and area optimized early output quasi-delay-insensitive array multipliers
title Speed, energy and area optimized early output quasi-delay-insensitive array multipliers
title_full Speed, energy and area optimized early output quasi-delay-insensitive array multipliers
title_fullStr Speed, energy and area optimized early output quasi-delay-insensitive array multipliers
title_full_unstemmed Speed, energy and area optimized early output quasi-delay-insensitive array multipliers
title_short Speed, energy and area optimized early output quasi-delay-insensitive array multipliers
title_sort speed, energy and area optimized early output quasi-delay-insensitive array multipliers
topic Research Article
url https://www.ncbi.nlm.nih.gov/pmc/articles/PMC6996843/
https://www.ncbi.nlm.nih.gov/pubmed/32012180
http://dx.doi.org/10.1371/journal.pone.0228343
work_keys_str_mv AT balasubramanianp speedenergyandareaoptimizedearlyoutputquasidelayinsensitivearraymultipliers
AT maskelldl speedenergyandareaoptimizedearlyoutputquasidelayinsensitivearraymultipliers
AT mastorakisne speedenergyandareaoptimizedearlyoutputquasidelayinsensitivearraymultipliers