Cargando…

Fabrication of High Aspect Ratio Micro-Structures with Superhydrophobic and Oleophobic Properties by Using Large-Area Roll-to-Plate Nanoimprint Lithography

Bio-inspired surfaces with superamphiphobic properties are well known as effective candidates for antifouling technology. However, the limitation of large-area mastering, patterning and pattern collapsing upon physical contact are the bottleneck for practical utilization in marine and medical applic...

Descripción completa

Detalles Bibliográficos
Autores principales: Atthi, Nithi, Dielen, Marc, Sripumkhai, Witsaroot, Pattamang, Pattaraluck, Meananeatra, Rattanawan, Saengdee, Pawasuth, Thongsook, Oraphan, Ranron, Norabadee, Pankong, Krynnaras, Uahchinkul, Warinrampai, Supadech, Jakrapong, Klunngien, Nipapan, Jeamsaksiri, Wutthinan, Veldhuizen, Pim, ter Meulen, Jan Matthijs
Formato: Online Artículo Texto
Lenguaje:English
Publicado: MDPI 2021
Materias:
Acceso en línea:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7912431/
https://www.ncbi.nlm.nih.gov/pubmed/33572813
http://dx.doi.org/10.3390/nano11020339
Descripción
Sumario:Bio-inspired surfaces with superamphiphobic properties are well known as effective candidates for antifouling technology. However, the limitation of large-area mastering, patterning and pattern collapsing upon physical contact are the bottleneck for practical utilization in marine and medical applications. In this study, a roll-to-plate nanoimprint lithography (R2P NIL) process using Morphotonics’ automated Portis NIL600 tool was used to replicate high aspect ratio (5.0) micro-structures via reusable intermediate flexible stamps that were fabricated from silicon master molds. Two types of Morphotonics’ in-house UV-curable resins were used to replicate a micro-pillar (PIL) and circular rings with eight stripe supporters (C-RESS) micro-structure onto polycarbonate (PC) and polyethylene terephthalate (PET) foil substrates. The pattern quality and surface wettability was compared to a conventional polydimethylsiloxane (PDMS) soft lithography process. It was found that the heights of the R2P NIL replicated PIL and C-RESS patterns deviated less than 6% and 5% from the pattern design, respectively. Moreover, the surface wettability of the imprinted PIL and C-RESS patterns was found to be superhydro- and oleophobic and hydro- and oleophobic, respectively, with good robustness for the C-RESS micro-structure. Therefore, the R2P NIL process is expected to be a promising method to fabricate robust C-RESS micro-structures for large-scale anti-biofouling application.