Cargando…

Variation-Aware Design of Custom Integrated Circuits A Hands-on Field Guide: A Hands-on Field Guide

This book targets custom IC designers who are encountering variation issues in their designs, especially for modern process nodes at 45nm and below, such as statistical process variations, environmental variations, and layout effects.  The authors have created a field guide to show how to handle var...

Descripción completa

Detalles Bibliográficos
Autores principales: McConaghy, Trent, Breen, Kristopher, Dyck, Jeffrey, Gupta, Amit
Lenguaje:eng
Publicado: Springer 2013
Materias:
Acceso en línea:https://dx.doi.org/10.1007/978-1-4614-2269-3
http://cds.cern.ch/record/1500187
_version_ 1780926856751480832
author McConaghy, Trent
Breen, Kristopher
Dyck, Jeffrey
Gupta, Amit
author_facet McConaghy, Trent
Breen, Kristopher
Dyck, Jeffrey
Gupta, Amit
author_sort McConaghy, Trent
collection CERN
description This book targets custom IC designers who are encountering variation issues in their designs, especially for modern process nodes at 45nm and below, such as statistical process variations, environmental variations, and layout effects.  The authors have created a field guide to show how to handle variation proactively, and to understand the benefits of doing so. Readers facing variation challenges in their memory, standard cell, analog/RF, and custom digital designs will find easy-to-read, pragmatic solutions.   Reviews the most important concepts in variation-aware design, including types of variables and variation, useful variation-aware design terminology, and an overview and comparison of high-level design flows. Describes and compares a suite of approaches and flows for PVT corner-driven design and verification. Presents Fast PVT, a novel, confidence-driven global optimization technique for PVT corner extraction and verification that is both rapid and reliable. Presents a visually-oriented overview of probability density functions, Monte Carlo sampling, and yield estimation. Describes a suite of methods used for 2-3 sigma statistical design and presents a novel sigma-driven corners flow, which is a fast, accurate, and scalable method suitable for 2-3 sigma design and verification. Describes and compares high-sigma design and verification techniques and presents a novel technique for high-sigma statistical corner extraction and verification, demonstrating its fast, accurate, scalable, and verifiable qualities across a variety of applications. Compares manual design and automated sizing and introduces an integrated approach to aid the sizing step in PVT, 3σ statistical and high-sigma statistical design.
id cern-1500187
institution Organización Europea para la Investigación Nuclear
language eng
publishDate 2013
publisher Springer
record_format invenio
spelling cern-15001872021-04-22T00:02:35Zdoi:10.1007/978-1-4614-2269-3http://cds.cern.ch/record/1500187engMcConaghy, TrentBreen, KristopherDyck, JeffreyGupta, AmitVariation-Aware Design of Custom Integrated Circuits A Hands-on Field Guide: A Hands-on Field GuideEngineeringThis book targets custom IC designers who are encountering variation issues in their designs, especially for modern process nodes at 45nm and below, such as statistical process variations, environmental variations, and layout effects.  The authors have created a field guide to show how to handle variation proactively, and to understand the benefits of doing so. Readers facing variation challenges in their memory, standard cell, analog/RF, and custom digital designs will find easy-to-read, pragmatic solutions.   Reviews the most important concepts in variation-aware design, including types of variables and variation, useful variation-aware design terminology, and an overview and comparison of high-level design flows. Describes and compares a suite of approaches and flows for PVT corner-driven design and verification. Presents Fast PVT, a novel, confidence-driven global optimization technique for PVT corner extraction and verification that is both rapid and reliable. Presents a visually-oriented overview of probability density functions, Monte Carlo sampling, and yield estimation. Describes a suite of methods used for 2-3 sigma statistical design and presents a novel sigma-driven corners flow, which is a fast, accurate, and scalable method suitable for 2-3 sigma design and verification. Describes and compares high-sigma design and verification techniques and presents a novel technique for high-sigma statistical corner extraction and verification, demonstrating its fast, accurate, scalable, and verifiable qualities across a variety of applications. Compares manual design and automated sizing and introduces an integrated approach to aid the sizing step in PVT, 3σ statistical and high-sigma statistical design.Springeroai:cds.cern.ch:15001872013
spellingShingle Engineering
McConaghy, Trent
Breen, Kristopher
Dyck, Jeffrey
Gupta, Amit
Variation-Aware Design of Custom Integrated Circuits A Hands-on Field Guide: A Hands-on Field Guide
title Variation-Aware Design of Custom Integrated Circuits A Hands-on Field Guide: A Hands-on Field Guide
title_full Variation-Aware Design of Custom Integrated Circuits A Hands-on Field Guide: A Hands-on Field Guide
title_fullStr Variation-Aware Design of Custom Integrated Circuits A Hands-on Field Guide: A Hands-on Field Guide
title_full_unstemmed Variation-Aware Design of Custom Integrated Circuits A Hands-on Field Guide: A Hands-on Field Guide
title_short Variation-Aware Design of Custom Integrated Circuits A Hands-on Field Guide: A Hands-on Field Guide
title_sort variation-aware design of custom integrated circuits a hands-on field guide: a hands-on field guide
topic Engineering
url https://dx.doi.org/10.1007/978-1-4614-2269-3
http://cds.cern.ch/record/1500187
work_keys_str_mv AT mcconaghytrent variationawaredesignofcustomintegratedcircuitsahandsonfieldguideahandsonfieldguide
AT breenkristopher variationawaredesignofcustomintegratedcircuitsahandsonfieldguideahandsonfieldguide
AT dyckjeffrey variationawaredesignofcustomintegratedcircuitsahandsonfieldguideahandsonfieldguide
AT guptaamit variationawaredesignofcustomintegratedcircuitsahandsonfieldguideahandsonfieldguide