Cargando…

3D Integrated Circuit Cooling with Microfluidics

Using microfluidic cooling to achieve thermal management of three-dimensional integrated circuits (ICs) is recognized as a promising method of extending Moore law progression in electronic components and systems. Since the U.S. Defense Advanced Research Projects Agency launched Intra/Inter Chip Enha...

Descripción completa

Detalles Bibliográficos
Autores principales: Wang, Shaoxi, Yin, Yue, Hu, Chenxia, Rezai, Pouya
Formato: Online Artículo Texto
Lenguaje:English
Publicado: MDPI 2018
Materias:
Acceso en línea:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC6187454/
https://www.ncbi.nlm.nih.gov/pubmed/30424220
http://dx.doi.org/10.3390/mi9060287
_version_ 1783363023268741120
author Wang, Shaoxi
Yin, Yue
Hu, Chenxia
Rezai, Pouya
author_facet Wang, Shaoxi
Yin, Yue
Hu, Chenxia
Rezai, Pouya
author_sort Wang, Shaoxi
collection PubMed
description Using microfluidic cooling to achieve thermal management of three-dimensional integrated circuits (ICs) is recognized as a promising method of extending Moore law progression in electronic components and systems. Since the U.S. Defense Advanced Research Projects Agency launched Intra/Inter Chip Enhanced Cooling thermal packaging program, the method of using microfluidic cooling in 3D ICs has been under continuous development. This paper presents an analysis of all publications available about the microfluidic cooling technologies used in 3D IC thermal management, and summarized these research works into six categories: cooling structure design, co-design issues, through silicon via (TSV) influence, specific chip applications, thermal models, and non-uniform heating and hotspots. The details of these research works are given, future works are suggested.
format Online
Article
Text
id pubmed-6187454
institution National Center for Biotechnology Information
language English
publishDate 2018
publisher MDPI
record_format MEDLINE/PubMed
spelling pubmed-61874542018-11-01 3D Integrated Circuit Cooling with Microfluidics Wang, Shaoxi Yin, Yue Hu, Chenxia Rezai, Pouya Micromachines (Basel) Review Using microfluidic cooling to achieve thermal management of three-dimensional integrated circuits (ICs) is recognized as a promising method of extending Moore law progression in electronic components and systems. Since the U.S. Defense Advanced Research Projects Agency launched Intra/Inter Chip Enhanced Cooling thermal packaging program, the method of using microfluidic cooling in 3D ICs has been under continuous development. This paper presents an analysis of all publications available about the microfluidic cooling technologies used in 3D IC thermal management, and summarized these research works into six categories: cooling structure design, co-design issues, through silicon via (TSV) influence, specific chip applications, thermal models, and non-uniform heating and hotspots. The details of these research works are given, future works are suggested. MDPI 2018-06-07 /pmc/articles/PMC6187454/ /pubmed/30424220 http://dx.doi.org/10.3390/mi9060287 Text en © 2018 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).
spellingShingle Review
Wang, Shaoxi
Yin, Yue
Hu, Chenxia
Rezai, Pouya
3D Integrated Circuit Cooling with Microfluidics
title 3D Integrated Circuit Cooling with Microfluidics
title_full 3D Integrated Circuit Cooling with Microfluidics
title_fullStr 3D Integrated Circuit Cooling with Microfluidics
title_full_unstemmed 3D Integrated Circuit Cooling with Microfluidics
title_short 3D Integrated Circuit Cooling with Microfluidics
title_sort 3d integrated circuit cooling with microfluidics
topic Review
url https://www.ncbi.nlm.nih.gov/pmc/articles/PMC6187454/
https://www.ncbi.nlm.nih.gov/pubmed/30424220
http://dx.doi.org/10.3390/mi9060287
work_keys_str_mv AT wangshaoxi 3dintegratedcircuitcoolingwithmicrofluidics
AT yinyue 3dintegratedcircuitcoolingwithmicrofluidics
AT huchenxia 3dintegratedcircuitcoolingwithmicrofluidics
AT rezaipouya 3dintegratedcircuitcoolingwithmicrofluidics