Cargando…

Electrical Characteristics and Reliability of Nitrogen-Stuffed Porous Low-k SiOCH/Mn(2)O(3−x)N/Cu Integration

In our previous study, a novel barrier processing on a porous low-dielectric constant (low-k) film was developed: an ultrathin Mn oxide on a nitrogen-stuffed porous carbon-doped organosilica film (p-SiOCH(N)) as a barrier of the Cu film was fabricated. To form a better barrier Mn(2)O(3−x)N film, add...

Descripción completa

Detalles Bibliográficos
Autores principales: Cheng, Yi-Lung, Lin, Yu-Lu, Lee, Chih-Yen, Chen, Giin-Shan, Fang, Jau-Shiung
Formato: Online Artículo Texto
Lenguaje:English
Publicado: MDPI 2019
Materias:
Acceso en línea:https://www.ncbi.nlm.nih.gov/pmc/articles/PMC6864526/
https://www.ncbi.nlm.nih.gov/pubmed/31661909
http://dx.doi.org/10.3390/molecules24213882
Descripción
Sumario:In our previous study, a novel barrier processing on a porous low-dielectric constant (low-k) film was developed: an ultrathin Mn oxide on a nitrogen-stuffed porous carbon-doped organosilica film (p-SiOCH(N)) as a barrier of the Cu film was fabricated. To form a better barrier Mn(2)O(3−x)N film, additional annealing at 450 °C was implemented. In this study, the electrical characteristics and reliability of this integrated Cu/Mn(2)O(3−x)N/p-SiOCH(N)/Si structure were investigated. The proposed Cu/Mn(2)O(3−x)N/p-SiOCH(N)/Si capacitors exhibited poor dielectric breakdown characteristics in the as-fabricated stage, although, less degradation was found after thermal stress. Moreover, its time-dependence-dielectric-breakdown electric-field acceleration factor slightly increased after thermal stress, leading to a larger dielectric lifetime in a low electric-field as compared to other metal-insulator-silicon (MIS) capacitors. Furthermore, its Cu barrier ability under electrical or thermal stress was improved. As a consequence, the proposed Cu/Mn(2)O(3−x)N/p-SiCOH(N) scheme is promising integrity for back-end-of-line interconnects.